Jump to content
Heritage Owners Club

What new 12ax7's are decent?


Hfan

Recommended Posts

I believe the majority of the current production come from one of 4 companies. Beside JJ and Shuguang, you have the XPO-Pul and the Svetlana factories in Russia. If you look closely, you can see the differences between the factories. I had 5 different 12AX7s a while back and you could tell the Sovtek, Mullard and EH were all of identical construction. All three were long plate and the way the plates were assembled were the same down to the way the tabs were bent. The JJ and TAD were both short plate, but with different construction. The TAD was Chinese.

 

I tried changing out tubes in the Patriot, but in the end, I felt the differences were so minor that I questioned whether they were real. It seemed to be more a matter of volume than tonal balance or frequency response. On the other hand, I have always found that the acoustic./ electric transducers like speakers, pickups, and turntable cartridges vary tremendously.

Link to comment
Share on other sites

Unfortunately, the Svetlana Electronic Devices plant in St Petersburg is closed, by all accounts. They had sold the US rights to the "Svetlana" name to New Sensor, so all S.E.D. tubs bear the "winged C" logo, and don't have Svetlana on them. New Sensor owns the Reflektor/XPO-PUL plant, as well as the brand names Mullard, Tung Sol, Electro Harmonix, Svetlana, Sovtek, and probably others.

 

You can still find S.E.D. power tubes around, but they command a premium. I was lucky to get the ones that I did. I should have bought a few extra sets.

 

There was talk for a while of New Sensor purchasing the S.E.D. plant, but I do not know if anything came of that. There was similar talk regarding the EI tube factory in Yugoslavia when it closed.

 

Also, there was a scare some years back when some developers wanted to tear down the entire Reflektor complex, but that didn't happen, thankfully.

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...